Welcome![Sign In][Sign Up]
Location:
Search - VHDL control VGA

Search list

[VHDL-FPGA-VerilogVGA_Ctrl_VHDL

Description: 使用VHDL在Quartus II环境下实现对VGA接口显示器的控制,显示单色屏、彩条、棋盘格等。-The use of VHDL in the Quartus II environment to realize VGA interface display control, display monochrome screen, color bars, checkerboard grid and so on.
Platform: | Size: 615424 | Author: nostalgia | Hits:

[VHDL-FPGA-Verilogvga_interface

Description: 以VHDL撰写的萤幕VGA控制程式,有渐层显示功能与框架建立功能。-To write VHDL VGA screen control program, there is a gradient set up in the frame display.
Platform: | Size: 2048 | Author: Risger | Hits:

[VHDL-FPGA-Verilogvgaroundn

Description: VHDL语言在QUARTUS II环境下控制VGA显示器显示彩色小球的程序-VHDL language QUARTUS II VGA display under control of the ball in the process of color
Platform: | Size: 2895872 | Author: 贺飞 | Hits:

[VHDL-FPGA-Verilogfinal

Description: vhdl编写的控制vga显示器显示条形信号-vhdl vga write control signal bar display
Platform: | Size: 1024 | Author: 李一峰 | Hits:

[VHDL-FPGA-Verilogvga_focus_code

Description: 用VHDL编写的PAL转换为VGA格式的源代码,同时包括摄像头的自动变焦控制源码-PAL prepared using VHDL VGA format is converted to the source code, including the automatic zoom camera control source
Platform: | Size: 139264 | Author: | Hits:

[VHDL-FPGA-VerilogISE_lab19

Description: 俄罗斯方块VHDL实现,。该设计由下面模块组成:键盘输入模块,游戏控制模块,图像显示模块,文字显示模块,存储单元,复用单元和VGA 控制模块组成。其中图像显示模块和文字显示模块复用VGA 控制模块。游戏控制模块,图像显示模块和文字显示模块通过存储单元交换数据。-Tetris VHDL implementation. The design consists of the following modules: Keyboard input module, the game control module, image display module, the text display module, the storage unit, multiplexing unit and the VGA control module. One image shows the modules and module reuse VGA text display control module. Game control module, image display module and the text display module to exchange data through the storage unit.
Platform: | Size: 3850240 | Author: 雷旦 | Hits:

[VHDL-FPGA-VerilogPicking-Bean-Game

Description: 吃豆子的小游戏,是一个可以控制小球沿路径吃豆子并且成长的游戏,利用时钟分频模块,VHDL语言编写,可以利用VGA模块在显示屏上显示-Pacman game Pacman can control a small ball along the path and growth of the game ........
Platform: | Size: 1880064 | Author: Ming Yan | Hits:

[Windows DevelopBssppartan3a

Description: 一种基于xilinx公司的FPGA开发板spartan3的一个用键盘控制制vga输出的vhdl源代码程序源码,能实现高清晰的视频输出. -Based xilinx company FPGA development board spartan3 of a keyboard control system vga output vhdl source code program source code, can achieve high-definition video output.
Platform: | Size: 4096 | Author: 对称 | Hits:

[VHDL-FPGA-Verilogvga_graph_st

Description: 该程序用vhdl编写的vga显示的小游戏,到时屏幕上会显示一个小球,一根棒子,一面墙,棒子可以通过按键控制来移动。而小球在不停的运动,遇到墙会反弹。-Game written by the program with VHDL VGA display, the screen will display a small ball, a stick, a wall, stick to move through the key control. Ball in constant motion, encountered the wall will bounce.
Platform: | Size: 792576 | Author: 陈志伟 | Hits:

[VHDL-FPGA-Verilogpicoblaze

Description: 基于Nexys3的picoblaze,实现了一个命令菜单,可以控制流水灯,VGA显示,交通灯。verilog,VHDL都有。-Based picoblaze Nexys3 achieve a command menu, you can control the water lights, VGA display, traffic lights. verilog, VHDL has.
Platform: | Size: 6043648 | Author: jiangjiaguo | Hits:

[Shot Gametanchishe-QuartusII

Description: VGA显示FPGA实现的VHDL语言的贪吃蛇游戏设计 本设计分为6个模块主要是扫描模块 VGA现实和控制模块 游戏设计的模块 电源模块等 用QUARTUS2仿真运行-VGA display FPGA VHDL language to realize the Snake game design The design is divided into six modules mainly scanning module VGA module power module and control module reality game design, etc. Simulation run with QUARTUS2
Platform: | Size: 2499584 | Author: 懒猫 | Hits:

[VHDL-FPGA-Verilogplane_game

Description: 基于basys2的打飞机的小游戏,在ise13.4上用vhdl语言开发。通过VGA接口显示,能够显示分数,gameover等字样,己方飞机可控制左右移动,敌方飞机自动移动,碰边界会变方向变速。得分越高,速度越快,难度越大。-Based on basys2 play little game, on the ise13.4 using VHDL language development. Through the VGA interface display, can display the score, gameover words, such as their planes can control around mobile, the enemy planes automatically move, touch the boundary will change direction change. The higher the score, the faster the speed, the greater the difficulty.
Platform: | Size: 1894400 | Author: 范子健 | Hits:

[VHDL-FPGA-VerilogVHDL--VGA

Description: 此VHDL语言程序可以控制液晶屏幕任意动画播放-The VHDL language program can control the LCD screen any animation
Platform: | Size: 1024 | Author: ZHOU | Hits:

[VHDL-FPGA-VerilogVGAdisplay

Description: 本系统编写了VHDL代码实现对对VGA协议的控制,可以在显示屏上显示分辨率为640*480,刷新频率为60Hz的彩条及彩色图片-The system is written VHDL code for VGA protocol for control can be displayed on the display screen with a resolution of 640* 480, refresh rate of 60Hz and a color picture of color bar
Platform: | Size: 12523520 | Author: 孙佳贝 | Hits:

[VHDL-FPGA-VerilogHanoiTower

Description: 使用Verilog HDL 以及VHDL语言,运用FPGA中的VGA显示原理以及键盘控制原理,开发汉诺塔简易游戏(The use of Verilog HDL and VHDL language, the use of FPGA in the VGA display principle and keyboard control principle, the development of Hanoi simple game)
Platform: | Size: 6129664 | Author: 〝奈我何、 | Hits:
« 1 2 3 4»

CodeBus www.codebus.net